🗊Презентация Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog

Категория: Технология
Нажмите для полного просмотра!
Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №1Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №2Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №3Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №4Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №5Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №6Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №7Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №8Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №9Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №10Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №11Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №12Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №13Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №14Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №15Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №16Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №17Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №18Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №19Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №20Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №21Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №22Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №23Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №24Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №25Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №26Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №27Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №28Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №29Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №30Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №31Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №32Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №33Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №34Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №35Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №36Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №37Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №38

Вы можете ознакомиться и скачать презентацию на тему Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog. Доклад-сообщение содержит 38 слайдов. Презентации для любого класса можно скачать бесплатно. Если материал и наш сайт презентаций Mypresentation Вам понравились – поделитесь им с друзьями с помощью социальных кнопок и добавьте в закладки в своем браузере.

Слайды и текст этой презентации


Слайд 1





Sisteme VLSI reconfigurabile
 Curs 02
Introducere în Verilog – partea II
Описание слайда:
Sisteme VLSI reconfigurabile Curs 02 Introducere în Verilog – partea II

Слайд 2





Operatorii definiţi în limbajul Verilog
Описание слайда:
Operatorii definiţi în limbajul Verilog

Слайд 3


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №3
Описание слайда:

Слайд 4





Exemplu de tratare a valorilor semnalelor de tip integer, respectiv reg, în calculele aritmetice
`timescale 1ns/100ps	    // seteaza unitatea de masura pt. timp, 					    // respectiv rezolutia simularii
module c02ex01; 
	
	integer y1, x1;		    // se declara 2 variabile de tip integer
	initial
		begin		    // begin-end defineste un segment de cod 
		   x1 = 8'd12; 	    // se initializeaza variabila x1=12
		   y1 = x1/3;	    // lui y1 i se atribuie expresia x1/3
		   # 10 x1 = -8'd12;    // dupa 10ns, x1=-12 (-12 se va reprezenta in C2)
		   y1 = x1/3;           // y1 isi actualizeaza valoarea; rezultatul este corect! 
		end
	
	reg [7:0] y2, x2;	    // se declara 2 variabile de tip reg pe 8 biti
	initial
		begin 
		   x2=8'd12;
		   y2=x2/3;
		   # 10 x2=-8'd12;     // ! x3 = -12 (-12 se va reprezenta in C2)
		   y2=x2/3;	   // rezultatul este incorect deoarece in expresii,
		end                    // valoarea unui semnal de tip reg este interpretata	
endmodule			   // ca numar intreg fara semn
Описание слайда:
Exemplu de tratare a valorilor semnalelor de tip integer, respectiv reg, în calculele aritmetice `timescale 1ns/100ps // seteaza unitatea de masura pt. timp, // respectiv rezolutia simularii module c02ex01; integer y1, x1; // se declara 2 variabile de tip integer initial begin // begin-end defineste un segment de cod x1 = 8'd12; // se initializeaza variabila x1=12 y1 = x1/3; // lui y1 i se atribuie expresia x1/3 # 10 x1 = -8'd12; // dupa 10ns, x1=-12 (-12 se va reprezenta in C2) y1 = x1/3; // y1 isi actualizeaza valoarea; rezultatul este corect! end reg [7:0] y2, x2; // se declara 2 variabile de tip reg pe 8 biti initial begin x2=8'd12; y2=x2/3; # 10 x2=-8'd12; // ! x3 = -12 (-12 se va reprezenta in C2) y2=x2/3; // rezultatul este incorect deoarece in expresii, end // valoarea unui semnal de tip reg este interpretata endmodule // ca numar intreg fara semn

Слайд 5





Utilizarea semnalelor de tip integer, respectiv a valorii semnalor de tip reg cu operatori
Описание слайда:
Utilizarea semnalelor de tip integer, respectiv a valorii semnalor de tip reg cu operatori

Слайд 6


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №6
Описание слайда:

Слайд 7


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №7
Описание слайда:

Слайд 8





Utilizarea semnalelor de tip integer, respectiv a valorii semnalor de tip reg cu operatori
Описание слайда:
Utilizarea semnalelor de tip integer, respectiv a valorii semnalor de tip reg cu operatori

Слайд 9


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №9
Описание слайда:

Слайд 10





Rezultatele generate de utilizarea operatorilor logici, respectiv a operatorilor pe biţi
`timescale 1ns/100ps	 
module c02ex03 ( 
output reg zAnd, zOr, zNot,
output reg [3:0] zAndB, zOrB, zNotB, 
output reg z); 

reg [3:0] x,y;

initial	 
	begin
		x = 4'b1001;
		y = 4'b1111; 
		# 5 y = 4'b0000;  
		# 5 y = 4'b0001;	
	end	
always @(x,y)		// bloc de cod care se repeta la fiecare 
				// tranzitie a semnalelor x si y
	begin 
			
		zAnd = x && y;      // oepratorii logici genereaza rezultate booleene
		zOr = x || y;
		zNot = !x;
		
		zAndB = x & y;	// operatorii logici pe biti genereaza rezultate
		zOrB = x | y;       // de dimensiunea operanzilor
		zNotB = ~x;
		
		z = ((x & (~y)))?	!(x | y) : (x ^ y)&& y;
		
	end			
endmodule
Описание слайда:
Rezultatele generate de utilizarea operatorilor logici, respectiv a operatorilor pe biţi `timescale 1ns/100ps module c02ex03 ( output reg zAnd, zOr, zNot, output reg [3:0] zAndB, zOrB, zNotB, output reg z); reg [3:0] x,y; initial begin x = 4'b1001; y = 4'b1111; # 5 y = 4'b0000; # 5 y = 4'b0001; end always @(x,y) // bloc de cod care se repeta la fiecare // tranzitie a semnalelor x si y begin zAnd = x && y; // oepratorii logici genereaza rezultate booleene zOr = x || y; zNot = !x; zAndB = x & y; // operatorii logici pe biti genereaza rezultate zOrB = x | y; // de dimensiunea operanzilor zNotB = ~x; z = ((x & (~y)))? !(x | y) : (x ^ y)&& y; end endmodule

Слайд 11


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №11
Описание слайда:

Слайд 12





V. Descrierea comportamentală a sistemelor digitale
Описание слайда:
V. Descrierea comportamentală a sistemelor digitale

Слайд 13





Blocurile always, respectiv initial
Описание слайда:
Blocurile always, respectiv initial

Слайд 14


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №14
Описание слайда:

Слайд 15


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №15
Описание слайда:

Слайд 16


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №16
Описание слайда:

Слайд 17


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №17
Описание слайда:

Слайд 18


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №18
Описание слайда:

Слайд 19


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №19
Описание слайда:

Слайд 20


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №20
Описание слайда:

Слайд 21


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №21
Описание слайда:

Слайд 22


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №22
Описание слайда:

Слайд 23


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №23
Описание слайда:

Слайд 24


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №24
Описание слайда:

Слайд 25


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №25
Описание слайда:

Слайд 26


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №26
Описание слайда:

Слайд 27


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №27
Описание слайда:

Слайд 28


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №28
Описание слайда:

Слайд 29


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №29
Описание слайда:

Слайд 30





Cazul semnalelor multisursă
Constituie o sursă de erori în modelarea sistemelor digitale!
Описание слайда:
Cazul semnalelor multisursă Constituie o sursă de erori în modelarea sistemelor digitale!

Слайд 31





VI. Descrierea “dataflow” a sistemelor digitale
Описание слайда:
VI. Descrierea “dataflow” a sistemelor digitale

Слайд 32





VII Descrierea structurală
Описание слайда:
VII Descrierea structurală

Слайд 33





Exemplul 1 de descriere structurală
Описание слайда:
Exemplul 1 de descriere structurală

Слайд 34





Exemplul 2 de descriere structurală
Описание слайда:
Exemplul 2 de descriere structurală

Слайд 35





Descrierea structurilor regulate prin bucla for
Описание слайда:
Descrierea structurilor regulate prin bucla for

Слайд 36





Tipurile de conexiuni permise în descrierile structurale pentru semnalele din interfaţa modulului
Описание слайда:
Tipurile de conexiuni permise în descrierile structurale pentru semnalele din interfaţa modulului

Слайд 37


Sisteme VLSI reconfigurabile. Operatorii definiţi în limbajul Verilog, слайд №37
Описание слайда:

Слайд 38





Execuţia proceselor în timpul simulării
Описание слайда:
Execuţia proceselor în timpul simulării



Похожие презентации
Mypresentation.ru
Загрузить презентацию