🗊Презентация Цифровая схемотехника. Счетчики VHDL. (Лекция 12)

Категория: Технология
Нажмите для полного просмотра!
Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №1Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №2Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №3Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №4Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №5Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №6Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №7Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №8Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №9Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №10Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №11Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №12Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №13Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №14Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №15Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №16Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №17Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №18Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №19Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №20Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №21Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №22Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №23Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №24Цифровая схемотехника. Счетчики VHDL. (Лекция 12), слайд №25

Содержание

Вы можете ознакомиться и скачать презентацию на тему Цифровая схемотехника. Счетчики VHDL. (Лекция 12). Доклад-сообщение содержит 25 слайдов. Презентации для любого класса можно скачать бесплатно. Если материал и наш сайт презентаций Mypresentation Вам понравились – поделитесь им с друзьями с помощью социальных кнопок и добавьте в закладки в своем браузере.

Слайды и текст этой презентации


Слайд 1





Счетчики. 
Реализация на VHDL.
Описание слайда:
Счетчики. Реализация на VHDL.

Слайд 2





Счетчики.
Простой суммирующий счетчик.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY count IS
	PORT (clk: IN	STD_LOGIC;
		out_data: OUT INTEGER RANGE 0 TO 15);
END count;
ARCHITECTURE a OF count IS
-- внутренний сигнал, так как выход 
-- не может быть аргументом в выражении
	SIGNAL csignal: INTEGER RANGE 0 TO 15;
Описание слайда:
Счетчики. Простой суммирующий счетчик. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY count IS PORT (clk: IN STD_LOGIC; out_data: OUT INTEGER RANGE 0 TO 15); END count; ARCHITECTURE a OF count IS -- внутренний сигнал, так как выход -- не может быть аргументом в выражении SIGNAL csignal: INTEGER RANGE 0 TO 15;

Слайд 3






BEGIN
	PROCESS (clk)
	BEGIN
-- проверка наличия фронта
		IF (clk'EVENT AND clk = '1') THEN
-- увеличение на единицу
-- проверки на переполнение нет
			csignal <= csignal + 1;
		END IF;
	END PROCESS;
	out_data<= csignal;
END a;
Описание слайда:
BEGIN PROCESS (clk) BEGIN -- проверка наличия фронта IF (clk'EVENT AND clk = '1') THEN -- увеличение на единицу -- проверки на переполнение нет csignal <= csignal + 1; END IF; END PROCESS; out_data<= csignal; END a;

Слайд 4





Временные диаграммы
Описание слайда:
Временные диаграммы

Слайд 5





Временные диаграммы
Описание слайда:
Временные диаграммы

Слайд 6





Диаграммы без учета задержек
Описание слайда:
Диаграммы без учета задержек

Слайд 7





Функциональная симуляция
Это моделирование без учета задержек
Для запуска:
Выбрать функциональную симуляцию.
Сгенерировать лист связей для функциональной симуляции (Functional Simulation Netlist)
Запустить симуляцию
Описание слайда:
Функциональная симуляция Это моделирование без учета задержек Для запуска: Выбрать функциональную симуляцию. Сгенерировать лист связей для функциональной симуляции (Functional Simulation Netlist) Запустить симуляцию

Слайд 8





Выбор типа симуляции
Описание слайда:
Выбор типа симуляции

Слайд 9





Генерация файла связей
Меню:
Processing -> Generate Functional Simulation Netlist
Описание слайда:
Генерация файла связей Меню: Processing -> Generate Functional Simulation Netlist

Слайд 10





Счетчик на RTL уровне
Описание слайда:
Счетчик на RTL уровне

Слайд 11





Счетчик на уровне ЛЭ ПЛИС
Описание слайда:
Счетчик на уровне ЛЭ ПЛИС

Слайд 12





Реверсивный счетчик
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity count is
	generic (
		MIN_COUNT : natural := 0;
		MAX_COUNT : natural := 7);
	port	(clk	   : in std_logic;
		reset	   : in std_logic;
		enable	   : in std_logic;
		updown	   : in std_logic;
		q	   : out integer range MIN_COUNT to MAX_COUNT);
end entity;
Описание слайда:
Реверсивный счетчик library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity count is generic ( MIN_COUNT : natural := 0; MAX_COUNT : natural := 7); port (clk : in std_logic; reset : in std_logic; enable : in std_logic; updown : in std_logic; q : out integer range MIN_COUNT to MAX_COUNT); end entity;

Слайд 13





architecture rtl of count is
architecture rtl of count is
	signal direction : integer;
begin
-- внутренний сигнал выбора направления счета
-- если сигнал равен 1 – сложение
-- 	«-1» - вычитание
process (updown)
	begin
		if (updown = '1') then
			direction <= 1;
		else
			direction <= -1;
		end if;
	end process;
Описание слайда:
architecture rtl of count is architecture rtl of count is signal direction : integer; begin -- внутренний сигнал выбора направления счета -- если сигнал равен 1 – сложение -- «-1» - вычитание process (updown) begin if (updown = '1') then direction <= 1; else direction <= -1; end if; end process;

Слайд 14





process (clk)
process (clk)
		variable  cnt : integer range MIN_COUNT to MAX_COUNT;
	begin
		if (rising_edge(clk)) then
-- синхронный сбос
			if reset = '1' then
				cnt := 0;
-- проверка сигнала разрешения работы
			elsif enable = '1' then
-- счет
				cnt := cnt + direction;
			end if;
		end if;
		q <= cnt;
	end process;
end rtl;
Описание слайда:
process (clk) process (clk) variable cnt : integer range MIN_COUNT to MAX_COUNT; begin if (rising_edge(clk)) then -- синхронный сбос if reset = '1' then cnt := 0; -- проверка сигнала разрешения работы elsif enable = '1' then -- счет cnt := cnt + direction; end if; end if; q <= cnt; end process; end rtl;

Слайд 15





Временные диаграммы работы счетчика.
Описание слайда:
Временные диаграммы работы счетчика.

Слайд 16





Счетчик на RTL уровне
Описание слайда:
Счетчик на RTL уровне

Слайд 17





Счетчик с асинхронным сбросом, синхронной предустановкой и сигналом разрешения счета.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY count IS
	PORT 
	(d: IN INTEGER RANGE 0 TO 15;
	clk: IN STD_LOGIC;
	clrn: IN STD_LOGIC;
	ena: IN STD_LOGIC;
	load: IN STD_LOGIC;
	out_data: OUT  INTEGER RANGE 0 TO 15
	);
	
END count;
ARCHITECTURE a OF count IS
	SIGNAL	csignal: INTEGER RANGE 0 TO 15;
Описание слайда:
Счетчик с асинхронным сбросом, синхронной предустановкой и сигналом разрешения счета. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY count IS PORT (d: IN INTEGER RANGE 0 TO 15; clk: IN STD_LOGIC; clrn: IN STD_LOGIC; ena: IN STD_LOGIC; load: IN STD_LOGIC; out_data: OUT INTEGER RANGE 0 TO 15 ); END count; ARCHITECTURE a OF count IS SIGNAL csignal: INTEGER RANGE 0 TO 15;

Слайд 18






BEGIN
PROCESS (clk, clrn)
BEGIN
IF clrn = '0' THEN csignal <= 0;
ELSIF (clk'EVENT AND clk = '1') THEN
           IF load = '1' THEN csignal <= d;
	       ELSE
	           IF ena = '1' THEN
	       	     csignal <= csignal + 1;
		ELSE
		      csignal <= csignal;
		END IF;
	       END IF;
END IF;
END PROCESS;
out_data<= csignal;
END a;
Описание слайда:
BEGIN PROCESS (clk, clrn) BEGIN IF clrn = '0' THEN csignal <= 0; ELSIF (clk'EVENT AND clk = '1') THEN IF load = '1' THEN csignal <= d; ELSE IF ena = '1' THEN csignal <= csignal + 1; ELSE csignal <= csignal; END IF; END IF; END IF; END PROCESS; out_data<= csignal; END a;

Слайд 19





Счетчик. 
Асинхронный сброс
-- clrn – сигнал сброса: 
-- 0 – сброс, 1 – работа счетчика
IF clrn = '0' THEN csignal <= '0';
    -- проверка на наличие фронта тактового
	-- сигнала
	ELSIF (clk'EVENT AND clk = '1') THEN
	…
END IF;
Описание слайда:
Счетчик. Асинхронный сброс -- clrn – сигнал сброса: -- 0 – сброс, 1 – работа счетчика IF clrn = '0' THEN csignal <= '0'; -- проверка на наличие фронта тактового -- сигнала ELSIF (clk'EVENT AND clk = '1') THEN … END IF;

Слайд 20





Счетчик.
Синхронная загрузка 
ELSIF (clk'EVENT AND clk = '1') THEN
	-- пришел фронт сигнала
	-- если активен сигнал загрузки load – запись 
	-- входных данных в переменнную csignal 
	IF load = '1' THEN csignal <= d;
	ELSE
		IF ena = '1' THEN csignal <= csignal + 1;
		   ELSE	csignal <= csignal;
		END IF;
	END IF;
Описание слайда:
Счетчик. Синхронная загрузка ELSIF (clk'EVENT AND clk = '1') THEN -- пришел фронт сигнала -- если активен сигнал загрузки load – запись -- входных данных в переменнную csignal IF load = '1' THEN csignal <= d; ELSE IF ena = '1' THEN csignal <= csignal + 1; ELSE csignal <= csignal; END IF; END IF;

Слайд 21





Счетчик.
Синхронное разрешение счета.
		IF ena = '1' THEN 
		-- если активен сигнал разрешения ena 
		-- то производится инкремент переменной
			csignal <= csignal + 1;
		   ELSE	csignal <= csignal;
		END IF;
Описание слайда:
Счетчик. Синхронное разрешение счета. IF ena = '1' THEN -- если активен сигнал разрешения ena -- то производится инкремент переменной csignal <= csignal + 1; ELSE csignal <= csignal; END IF;

Слайд 22





Временные диаграммы работы счетчика.
Описание слайда:
Временные диаграммы работы счетчика.

Слайд 23





Диалог шаблонов.
Готовые проекты
Описание слайда:
Диалог шаблонов. Готовые проекты

Слайд 24





Дополнительная литература
Quartus II Handbook. Vol. 1. Part 6. Recommended HDL Coding Styles. 
Xilinx HDL Coding Techniques. http://www.xilinx.com/itp/3_1i/data/fise/xst/chap02/xst02000.htm
Описание слайда:
Дополнительная литература Quartus II Handbook. Vol. 1. Part 6. Recommended HDL Coding Styles. Xilinx HDL Coding Techniques. http://www.xilinx.com/itp/3_1i/data/fise/xst/chap02/xst02000.htm

Слайд 25





Внеклассное чтение. Идем в библиотеку
http://www.vokrugsveta.ru/vs/article/7353/ 
http://travel.tochka.net/7531-samye-krasivye-biblioteki-mira-shest-must-visit/ 
http://www.zotero.org/ 
http://www.aquarium.ru/discography/biblioteka223.html 
http://www.library.ru/lib/book.php?b_uid=42 
http://ieeexplore.ieee.org/
http://www.elsevier.com/
Описание слайда:
Внеклассное чтение. Идем в библиотеку http://www.vokrugsveta.ru/vs/article/7353/ http://travel.tochka.net/7531-samye-krasivye-biblioteki-mira-shest-must-visit/ http://www.zotero.org/ http://www.aquarium.ru/discography/biblioteka223.html http://www.library.ru/lib/book.php?b_uid=42 http://ieeexplore.ieee.org/ http://www.elsevier.com/



Похожие презентации
Mypresentation.ru
Загрузить презентацию